MICROELECTRONIC SYSTEMS NEWS

FILENUMBER: 9845 BEGIN_KEYWORDS TEXT DIGITAL SYSTEMS DESIGN VHDL ROTH END_KEYWORDS DATE: December 1998 TITLE: NEW TEXT ON DIGITAL SYSTEMS DESIGN USING VHDL BY ROTH

=================================================================
TITLE:  NEW TEXT ON DIGITAL SYSTEMS DESIGN USING VHDL BY ROTH

Access:  WWW

"Digital Systems Design Using VHDL" by Charles H. Roth, Jr., University of Texas at Austin.

PWS Publishing

Copyright 1998
ISBN: 0-534-95099-X
Price: US $82.95



     Written for an advanced-level course in digital systems design,
     Digital Systems Design Using VHDL integrates the use of the
     industry-standard hardware description language VHDL into the
     digital design process. Following a review of basic concepts of
     logic design in Chapter 1, the author introduces the basics of
     VHDL in Chapter 2, and then incorporates more coverage of VHDL
     topics as needed, with advanced topics covered in Chapter 8.
     Rather than simply teach VHDL in the digital design process. For
     example, in Chapter 9, the author develops VHDL models for a RAM
     memory and a microprocessor bus interface; he then uses a VHDL
     simulation to verify that timing specifications for the interface
     between the memory and microprocessor bus are satisfied. The book
     also covers the use of CAD tools to synthesize digital logic from
     a VHDL description (in Chapter 8), and stresses the use of
     programmable logic devices, including programmable gate arrays.
     Chapter 10 introduces methods for testing digital systems
     including boundary scan and a built-in self-test.

        * Teaches VHDL for the digital design process; both digital
          design concepts and VHDL programming techniques are covered
          simultaneously.
        * Teaches the use of VHDL for modeling, simulating, and
          synthesizing digital systems.
        * Design examples range in complexity from a simple adder to a
          complete microcontroller.
        * Numerous examples and exercises are provided at the end of
          each chapter, presented at various levels of difficulty.
        * Programmable gate arrays and complex PDLs are presented in
          Chapter 6, with complete design examples using these devices
          presented in later chapters.
        * Includes descriptions of both Xilinx and Altera programmable
          logic devices.

     Links: Download code and bit library for this text.

     Table of Contents

     1. Review of Logic Design Fundamentals. 2. Introduction to VHDL.
     3. Designing with Programmable Logic Devices. 4. Design of
     Networks for Arithmetic Operations. 5. Digital Design with SM
     Charts. 6. Designing with Programmable Gate Arrays and Complex
     Programmable Logic Devices. 7. Floating Point Arithmetic. 8.
     Additional Topics in VHDL. 9. VHDL Models for Memories and Busses.
     10. Hardware Testing and Design for Testability. 11. Design
     Examples. Appendices. A. VHDL Language Summary. B. Bit Package. C.
     Textio Package. D. Behavioral VHDL Code for M6805 CPU. E. M6805
     CPU VHDL Code for Synthesis. F. Projects. References. Index.

     480 pages
     Dimensions: 7 3/8 x 9 1/4


All of the VHDL code from Digital Systems Design Using VHDL can be
downloaded from CODE. The code is the same as in the text except
corrections have been made in several cases. The code conforms to the IEEE
Std 1076-1993. All of the code has been tested using the V-System VHDL
compiler and simulator from Model Tech. Some of the code has also been
tested using the Workview Office software from Viewlogic and various other
VHDL compilers. The downloadable files are in text format and organized by
chapters. the names of the files correspond to figure numbers in the text,
or in a few cases, to page numbers. Before using any VHDL code which
references BITLIB, it is necessary to download BIT_PACK.VHD, compile it, and
install it as a VHDL library in your system. Similarly, for any code which
uses MVLLIB, you must download MVLPACK.VHD, compile it, and install it.

   * All available files in one zipped version.

   * bit_pack.vhd
   * mvlpack.vhd

   * Chapter 2
   * Chapter 3
   * Chapter 4
   * Chapter 5
   * Chapter 6
   * Chapter 7
   * Chapter 8
   * Chapter 9
   * Chapter 10
   * Chapter 11
   * app_d.vhd
   * app_e.vhd
   * Errata list and Lecture slides

================================================================= 

Return to MSN Home Page

dbouldin@utk.edu