// module nand2( OUT, IN1, IN2 ); module circuit( OUT, IN1, IN2 ); output OUT; input IN1, IN2; NAND2X1 I6 (.A(net13),.B(net11),.Y(net4)); INVX1 I5 (.A(net9),.Y(OUT)); INVX1 I4 (.A(net4),.Y(net9)); INVX1 I3 (.A(net15),.Y(net11)); INVX1 I2 (.A(net17),.Y(net13)); INVX1 I1 (.A(IN2),.Y(net15)); INVX1 I0 (.A(IN1),.Y(net17)); endmodule