Checking out Encounter license ... Encounter_Digital_Impl_Sys_XL 9.1 license checkout succeeded. You can run 2 CPU jobs with the base license that is currently checked out. If required, use the setMultiCpuUsage command to enable multi-CPU processing. This Encounter release has been compiled with OA version 22.04-p061. ******************************************************************* * Copyright (c) Cadence Design Systems, Inc. 1996 - 2010. * * All rights reserved. * * * * * * * * This program contains confidential and trade secret information * * of Cadence Design Systems, Inc. and is protected by copyright * * law and international treaties. Any reproduction, use, * * distribution or disclosure of this program or any portion of it,* * or any attempt to obtain a human-readable version of this * * program, without the express, prior written consent of * * Cadence Design Systems, Inc., is strictly prohibited. * * * * Cadence Design Systems, Inc. * * 2655 Seely Avenue * * San Jose, CA 95134, USA * * * * * ******************************************************************* @(#)CDS: Encounter v09.12-s159_1 (64bit) 07/15/2010 13:17 (Linux 2.6) @(#)CDS: NanoRoute v09.12-s013 NR100629-2344/USR64-UB (database version 2.30, 102.1.1) {superthreading v1.15} @(#)CDS: CeltIC v09.12-s012_1 (64bit) 07/01/2010 05:52:50 (Linux 2.6.9-78.0.17.ELsmp) @(#)CDS: AAE 09.12-e022 (64bit) 07/15/2010 (Linux 2.6.9-89.0.19.ELsmp) @(#)CDS: CTE 09.12-s069_1 (64bit) Jul 15 2010 05:35:17 (Linux 2.6.9-89.0.19.ELsmp) @(#)CDS: CPE v09.12-s009 --- Starting "Encounter v09.12-s159_1" on Mon Oct 4 17:24:19 2010 (mem=60.0M) --- --- Running on ada8.eecs.utk.edu (x86_64 w/Linux 2.6.18-194.11.4.el5) --- This version was compiled on Thu Jul 15 13:17:23 PDT 2010. Set DBUPerIGU to 1000. Set net toggle Scale Factor to 1.00 Set Shrink Factor to 1.00000 Sourcing tcl/tk file "partc.tcl" ... loadConfig ./encounter.conf Reading config file - ./encounter.conf **WARN: (ENCEXT-1085): Option 'rda_Input(ui_res_scale)' used in configuration file './encounter.conf' is obsolete. The name will be converted into new format automatically if design is saved and then restored. Alternatively, update the configuration file to use names 'rda_Input(ui_preRoute_res)' and/or 'rda_Input(ui_postRoute_res)' for resistance scale factors to be used at preRoute/postRoute stages of the design . The obsolete name works in this release. But to avoid this warning and to ensure compatibility with future releases, update this option name. Loading Lef file /sw/cadence/FreePDK45-1.3/osu_soc/lib/files/gscl45nm.lef... **WARN: (ENCLF-155): ViaRule only supports routing/cut layer, but poly layer found for viaRule 'M1_POLY', Set DBUPerIGU to M2 pitch 380. Initializing default via types and wire widths ... Power Planner/ViaGen version 8.1.46 promoted on 02/17/2009. viaInitial starts at Mon Oct 4 17:24:36 2010 viaInitial ends at Mon Oct 4 17:24:37 2010 Reading netlist ... Backslashed names will retain backslash and a trailing blank character. Reading verilog netlist 'circuit.v' *** Memory Usage v0.159.2.9 (Current mem = 326.500M, initial mem = 60.020M) *** *** End netlist parsing (cpu=0:00:00.0, real=0:00:00.0, mem=326.5M) *** Set top cell to circuit. **WARN: (ENCSYC-2): Timing is not defined for cell XOR2X1. **WARN: (ENCSYC-2): Timing is not defined for cell XNOR2X1. **WARN: (ENCSYC-2): Timing is not defined for cell NOR2X1. **WARN: (ENCSYC-2): Timing is not defined for cell NAND2X1. **WARN: (ENCSYC-2): Timing is not defined for cell INVX1. *** End library_loading (cpu=0.00min, mem=0.0M, fe_cpu=0.05min, fe_mem=326.6M) *** Starting recursive module instantiation check. No recursion found. Building hierarchical netlist for Cell circuit ... *** Netlist is unique. Set DBUPerIGU to techSite CoreSite width 760. ** info: there are 34 modules. ** info: there are 1151 stdCell insts. *** Memory Usage v0.159.2.9 (Current mem = 327.289M, initial mem = 60.020M) *** *info: set bottom ioPad orient R0 Horizontal Layer M1 offset = 190 (guessed) Vertical Layer M2 offset = 190 (guessed) Suggestion: specify LAYER OFFSET in LEF file Reason: hard to extract LAYER OFFSET from standard cells Set Using Default Delay Limit as 1000. Set Default Net Delay as 1000 ps. Set Default Net Load as 0.5 pF. Set Input Pin Transition Delay as 120 ps. PreRoute Cap Scale Factor : 1.00 PreRoute Res Scale Factor : 1.00 PostRoute Cap Scale Factor : 1.00 PostRoute Res Scale Factor : 1.00 PostRoute XCap Scale Factor : 1.00 PreRoute Clock Cap Scale Factor : 1.00 [Derived from postRoute_cap (effortLevel low)] PreRoute Clock Res Scale Factor : 1.00 [Derived from postRoute_res (effortLevel low)] PostRoute Clock Cap Scale Factor : 1.00 [Derived from postRoute_cap (effortLevel low)] PostRoute Clock Res Scale Factor : 1.00 [Derived from postRoute_res (effortLevel low)] **WARN: (ENCOPT-3465): The buffer cells were automatically identified. The command setBufFootPrint is ignored. If you want to force the tool to honor this setting, you have to load a footprint file through the loadFootPrint command. **WARN: (ENCOPT-3466): The inverter cells were automatically identified. The command setInvFootPrint is ignored. If you want to force the tool to honor this setting, you have to load a footprint file through the loadFootPrint command. **WARN: (ENCOPT-3467): The delay cells were automatically identified. The command setDelayFootPrint is ignored. If you want to force the tool to honor this setting, you have to load a footprint file through the loadFootPrint command. floorPlan -r 1.0 0.7 Original cellDensity(chipDen)=0.700, nrRow=22. Number of standard cell rows = 22. Horizontal Layer M1 offset = 190 (guessed) Vertical Layer M2 offset = 190 (guessed) Suggestion: specify LAYER OFFSET in LEF file Reason: hard to extract LAYER OFFSET from standard cells amoebaPlace **WARN: (ENCSP-9007): The command 'amoebaPlace' is obsolete. It has been replaced by 'placeDesign'. Extracting standard cell pins and blockage ...... Pin and blockage extraction finished Extracting macro/IO cell pins and blockage ...... Pin and blockage extraction finished *** Starting "NanoPlace(TM) placement v0.892.2.15 (mem=328.5M)" ... Options: ignoreScan ignoreSpare pinGuide gpeffort=medium **WARN: (ENCDB-2082): Scan chains were not defined, -ignoreScan option will be ignored. Please first define the scan chains before using this option. #std cell=1151 #block=0 (0 floating + 0 preplaced) #ioInst=0 #net=1184 #term=2779 #term/net=2.35, #fixedIo=0, #floatIo=0, #fixedPin=0, #floatPin=49 stdCell: 1151 single + 0 double + 0 multi Total standard cell length = 0.8370 (mm), area = 0.0021 (mm^2) Design contains fractional 20 cells. Average module density = 0.700. Density for the design = 0.700. = stdcell_area 2202 (2067 um^2) / alloc_area 3146 (2953 um^2). Pin Density = 1.262. = total # of pins 2779 / total Instance area 2202. Iteration 1: Total net bbox = 1.486e+03 (1.49e+03 0.00e+00) Est. stn bbox = 1.633e+03 (1.63e+03 0.00e+00) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 2: Total net bbox = 2.193e+03 (1.39e+03 8.00e+02) Est. stn bbox = 2.418e+03 (1.51e+03 9.07e+02) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 3: Total net bbox = 2.263e+03 (1.41e+03 8.57e+02) Est. stn bbox = 2.490e+03 (1.51e+03 9.79e+02) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 4: Total net bbox = 3.204e+03 (1.57e+03 1.63e+03) Est. stn bbox = 3.430e+03 (1.68e+03 1.75e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 5: Total net bbox = 3.402e+03 (1.79e+03 1.61e+03) Est. stn bbox = 3.619e+03 (1.90e+03 1.72e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 6: Total net bbox = 3.792e+03 (1.79e+03 2.00e+03) Est. stn bbox = 4.009e+03 (1.90e+03 2.11e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 7: Total net bbox = 4.083e+03 (2.07e+03 2.02e+03) Est. stn bbox = 4.301e+03 (2.17e+03 2.13e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 8: Total net bbox = 4.753e+03 (2.07e+03 2.68e+03) Est. stn bbox = 4.979e+03 (2.18e+03 2.80e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 9: Total net bbox = 5.003e+03 (2.32e+03 2.69e+03) Est. stn bbox = 5.233e+03 (2.43e+03 2.81e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 10: Total net bbox = 5.205e+03 (2.32e+03 2.88e+03) Est. stn bbox = 5.436e+03 (2.43e+03 3.00e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 328.6M Iteration 11: Total net bbox = 6.111e+03 (2.97e+03 3.14e+03) Est. stn bbox = 6.352e+03 (3.09e+03 3.26e+03) cpu = 0:00:00.0 real = 0:00:00.0 mem = 334.7M *** cost = 6.111e+03 (2.97e+03 3.14e+03) (cpu for global=0:00:00.2) real=0:00:00.0*** Design contains fractional 20 cells. Starting refinePlace ... move report: placeLevelShifters moves 0 insts, mean move: 0.00 um, max move: 0.00 um Spread Effort: high, pre-route mode. Finished Phase I. CPU Time = 0:00:00.1, Real Time = 0:00:00.0 move report: preRPlace moves 295 insts, mean move: 0.49 um, max move: 2.47 um max move on inst (i_1441): (3.04, 46.93) --> (3.04, 49.40) Placement tweakage begins. wire length = 6.131e+03 = 2.982e+03 H + 3.149e+03 V wire length = 5.435e+03 = 2.489e+03 H + 2.946e+03 V Placement tweakage ends. move report: wireLenOpt moves 745 insts, mean move: 2.16 um, max move: 13.87 um max move on inst (i_1723): (8.74, 22.23) --> (7.22, 34.58) move report: rPlace moves 863 insts, mean move: 1.98 um, max move: 13.49 um max move on inst (i_1723): (8.74, 22.23) --> (7.60, 34.58) Statistics of distance of Instance movement in detailed placement: maximum (X+Y) = 13.49 um inst (i_1723) with max move: (8.74, 22.23) -> (7.6, 34.58) mean (X+Y) = 1.98 um Total instances flipped for legalization: 135 Total instances moved : 863 *** cpu=0:00:00.1 mem=334.8M mem(used)=0.1M*** Total net length = 5.614e+03 (2.607e+03 3.007e+03) (ext = 8.025e+02) *** End of Placement (cpu=0:00:00.4, real=0:00:00.0, mem=334.8M) *** Design contains fractional 20 cells. default core: bins with density > 0.75 = 44.4 % ( 4 / 9 ) Starting IO pin assignment... Completed IO pin assignment. sroute -noBlockPins -noPadRings **WARN: (ENCSR-4053): SRoute option "-noBlockPins" is obsolete and has been replaced by "-connect". The obsolete option still works in this release, but to avoid this warning and to ensure compatibility with future releases, update your script to use "-connect". **WARN: (ENCSR-4053): SRoute option "-noPadRings" is obsolete and has been replaced by "-connect". The obsolete option still works in this release, but to avoid this warning and to ensure compatibility with future releases, update your script to use "-connect". *** Begin SPECIAL ROUTE on Mon Oct 4 17:24:38 2010 *** Sroute/fcroute version 8.1.46 promoted on 02/17/2009. SPECIAL ROUTE ran on directory: /home/bouldin/webhome/protected/651-hw6 SPECIAL ROUTE ran on machine: ada8.eecs.utk.edu (Linux 2.6.18-194.11.4.el5 Xeon 2.00Ghz) Begin option processing ... (from .sroute_19775.conf) srouteConnectPowerBump set to false (from .sroute_19775.conf) routeSpecial set to true (from .sroute_19775.conf) srouteConnectBlockPin set to false (from .sroute_19775.conf) srouteFollowCorePinEnd set to 3 (from .sroute_19775.conf) srouteJogControl set to "preferWithChanges differentLayer" (from .sroute_19775.conf) sroutePadPinAllPorts set to true (from .sroute_19775.conf) sroutePreserveExistingRoutes set to true End option processing: cpu: 0:00:00, real: 0:00:00, peak: 704.00 megs. Reading DB technology information... Finished reading DB technology information. Reading floorplan and netlist information... Finished reading floorplan and netlist information. Read in 22 layers, 10 routing layers, 1 overlap layer Read in 33 macros, 5 used Read in 1151 components 1151 core components: 0 unplaced, 1151 placed, 0 fixed Read in 49 physical pins 49 physical pins: 0 unplaced, 49 placed, 0 fixed Read in 49 nets Read in 2 special nets Read in 2351 terminals Begin power routing ... **WARN: (ENCSR-1256): Net vdd does not have CORE class pad pins to be routed. Please check net list or port class. Net vdd does not have AREAIO class pad pins to be routed. Please check net list or port class. **WARN: (ENCSR-1256): Net gnd does not have CORE class pad pins to be routed. Please check net list or port class. Net gnd does not have AREAIO class pad pins to be routed. Please check net list or port class. CPU time for FollowPin 0 seconds CPU time for FollowPin 0 seconds Number of IO ports routed: 0 Number of Stripe ports routed: 0 Number of Core ports routed: 0 Number of Followpin connections: 23 End power routing: cpu: 0:00:00, real: 0:00:01, peak: 711.00 megs. Begin updating DB with routing results ... Updating DB with 49 io pins ... Updating DB with 14 via definition ... sroute: Total CPU time used = 0:0:0 sroute: Total Real time used = 0:0:1 sroute: Total Memory used = 1.94 megs sroute: Total Peak Memory used = 337.03 megs addFiller -cell FILL -prefix FILL -fillBoundary Design contains fractional 20 cells. *INFO: Adding fillers to top-module. *INFO: Added 532 filler insts (cell FILL / prefix FILL). *INFO: Total 532 filler insts added - prefix FILL (CPU: 0:00:00.0). globalNetConnect vdd -type tiehi globalNetConnect vdd -type pgpin -pin vdd -override globalNetConnect gnd -type tielo globalNetConnect gnd -type pgpin -pin gnd -override globalDetailRoute globalDetailRoute #Start globalDetailRoute on Mon Oct 4 17:24:39 2010 # #cpu time = 00:00:00, elapsed time = 00:00:00, memory = 338.00 (Mb) #WARNING (NRDB-976) The step 0.280000 for preferred direction tracks is smaller than the pitch 0.285000 for LAYER metal4. This will cause routability problems for NanoRoute. #WARNING (NRDB-976) The step 0.280000 for preferred direction tracks is smaller than the pitch 0.285000 for LAYER metal6. This will cause routability problems for NanoRoute. #WARNING (NRDB-976) The step 0.840000 for preferred direction tracks is smaller than the pitch 0.855000 for LAYER metal8. This will cause routability problems for NanoRoute. #WARNING (NRDB-976) The step 1.680000 for preferred direction tracks is smaller than the pitch 1.710000 for LAYER metal10. This will cause routability problems for NanoRoute. #NanoRoute Version v09.12-s013 NR100629-2344/USR64-UB #WARNING (NREX-28) The height of the first routing layer metal1 is 0.000000. It should be larger than 0.000000 #WARNING (NREX-29) The metal thickness of routing layer metal1 is 0.000000. It should be larger than 0.0. Add this to the technology information for better accuracy. #WARNING (NREX-30) Please also check the height and metal thickness values for the routing layers heigher than routing layer metal1 #WARNING (NREX-4) No Extended Cap Table was imported. Not enough process information was provided either and default Extended Cap Table database will be used. #Merging special wires... #Number of eco nets is 0 # #Start data preparation... #Auto generating G-grids with size=15 tracks, using layer metal2's pitch = 0.145. #Using automatically generated G-grids. # #Data preparation is done on Mon Oct 4 17:24:39 2010 # #Analyzing routing resource... #Routing resource analysis is done on Mon Oct 4 17:24:39 2010 # # Resource Analysis: # # Routing #Total %Gcell # Layer Direction Gcell Blocked # ------------------------------------------ # Metal 1 H 625 99.20% # Metal 2 V 625 0.00% # Metal 3 H 625 0.00% # Metal 4 V 625 0.00% # Metal 5 H 625 0.00% # Metal 6 V 625 0.00% # Metal 7 H 625 0.00% # Metal 8 V 625 0.00% # Metal 9 H 625 0.00% # Metal 10 V 625 0.00% # ------------------------------------------ # Total 6250 9.92% # # # #cpu time = 00:00:00, elapsed time = 00:00:00, memory = 355.00 (Mb) # #start global routing iteration 1... #cpu time = 00:00:00, elapsed time = 00:00:00, memory = 356.00 (Mb) # #start global routing iteration 2... #cpu time = 00:00:00, elapsed time = 00:00:00, memory = 356.00 (Mb) # #start global routing iteration 3... #cpu time = 00:00:00, elapsed time = 00:00:00, memory = 356.00 (Mb) # # # Congestion Analysis: (blocked Gcells are excluded) # # OverCon # #Gcell %Gcell # Layer (1) OverCon # -------------------------------- # Metal 1 0(0.00%) (0.00%) # Metal 2 0(0.00%) (0.00%) # Metal 3 0(0.00%) (0.00%) # Metal 4 0(0.00%) (0.00%) # Metal 5 0(0.00%) (0.00%) # Metal 6 0(0.00%) (0.00%) # Metal 7 0(0.00%) (0.00%) # Metal 8 0(0.00%) (0.00%) # Metal 9 0(0.00%) (0.00%) # Metal 10 0(0.00%) (0.00%) # -------------------------------- # Total 0(0.00%) (0.00%) # # The worst congested Gcell overcon (routing demand over resource in number of tracks) = 1 # #Complete Global Routing. #Total wire length = 4956 um. #Total half perimeter of net bounding box = 6892 um. #Total wire length on LAYER metal1 = 0 um. #Total wire length on LAYER metal2 = 2455 um. #Total wire length on LAYER metal3 = 2377 um. #Total wire length on LAYER metal4 = 107 um. #Total wire length on LAYER metal5 = 17 um. #Total wire length on LAYER metal6 = 0 um. #Total wire length on LAYER metal7 = 0 um. #Total wire length on LAYER metal8 = 0 um. #Total wire length on LAYER metal9 = 0 um. #Total wire length on LAYER metal10 = 0 um. #Total number of vias = 3283 #Up-Via Summary (total 3283): # #----------------------- # Metal 1 1951 # Metal 2 1302 # Metal 3 23 # Metal 4 7 #----------------------- # 3283 # #Max overcon = 0 track. #Total overcon = 0.00%. #Worst layer Gcell overcon rate = 0.00%. #Cpu time = 00:00:00 #Elapsed time = 00:00:00 #Increased memory = 3.00 (Mb) #Total memory = 356.00 (Mb) #Peak memory = 387.00 (Mb) # #Start Detail Routing. #start initial detail routing ... # number of violations = 35 #cpu time = 00:00:02, elapsed time = 00:00:02, memory = 362.00 (Mb) #start 1st optimization iteration ... # number of violations = 0 #cpu time = 00:00:00, elapsed time = 00:00:00, memory = 362.00 (Mb) #Complete Detail Routing. #Total wire length = 5808 um. #Total half perimeter of net bounding box = 6892 um. #Total wire length on LAYER metal1 = 499 um. #Total wire length on LAYER metal2 = 2586 um. #Total wire length on LAYER metal3 = 2150 um. #Total wire length on LAYER metal4 = 553 um. #Total wire length on LAYER metal5 = 21 um. #Total wire length on LAYER metal6 = 0 um. #Total wire length on LAYER metal7 = 0 um. #Total wire length on LAYER metal8 = 0 um. #Total wire length on LAYER metal9 = 0 um. #Total wire length on LAYER metal10 = 0 um. #Total number of vias = 5036 #Up-Via Summary (total 5036): # #----------------------- # Metal 1 2632 # Metal 2 2111 # Metal 3 281 # Metal 4 12 #----------------------- # 5036 # #Total number of DRC violations = 0 #Total number of violations on LAYER metal1 = 0 #Total number of violations on LAYER metal2 = 0 #Total number of violations on LAYER metal3 = 0 #Total number of violations on LAYER metal4 = 0 #Total number of violations on LAYER metal5 = 0 #Total number of violations on LAYER metal6 = 0 #Total number of violations on LAYER metal7 = 0 #Total number of violations on LAYER metal8 = 0 #Total number of violations on LAYER metal9 = 0 #Total number of violations on LAYER metal10 = 0 #detailRoute Statistics: #Cpu time = 00:00:02 #Elapsed time = 00:00:02 #Increased memory = 1.00 (Mb) #Total memory = 357.00 (Mb) #Peak memory = 393.00 (Mb) # #globalDetailRoute statistics: #Cpu time = 00:00:02 #Elapsed time = 00:00:02 #Increased memory = 17.00 (Mb) #Total memory = 355.00 (Mb) #Peak memory = 393.00 (Mb) #Number of warnings = 8 #Total number of warnings = 8 #Number of fails = 0 #Total number of fails = 0 #Complete globalDetailRoute on Mon Oct 4 17:24:41 2010 # uiSetTool ruler *** Memory Usage v0.159.2.9 (Current mem = 359.410M, initial mem = 60.020M) *** --- Ending "Encounter" (totcpu=0:00:07.0, real=0:05:09, mem=359.4M) ---