LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY housePlayer IS PORT ( clock : IN STD_LOGIC; -- clock signal reset : IN STD_LOGIC; -- global reset turn : IN STD_LOGIC; -- signals player's turn -- signals that card has been issued on the bus issued : IN STD_LOGIC; card : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- Dealer's showing card dealerShow : IN STD_LOGIC_VECTOR(3 DOWNTO 0); -- card stats NumA, Num2, Num3, Num4, Num5 : IN STD_LOGIC_VECTOR(4 DOWNTO 0); Num6, Num7, Num8, Num9, Num10 : IN STD_LOGIC_VECTOR(4 DOWNTO 0); NumJ, NumQ, NumK : IN STD_LOGIC_VECTOR(4 DOWNTO 0); -- Number of Hands remaining numHands : IN STD_LOGIC_VECTOR(6 DOWNTO 0); -- Player's balance balance : IN STD_LOGIC_VECTOR(9 DOWNTO 0); hit : OUT STD_LOGIC; -- Signal a 'Hit' command stand : OUT STD_LOGIC; -- Signal a 'Stand' command -- Player's bet amount bet : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)); END housePlayer; ARCHITECTURE behavior OF housePlayer IS BEGIN -- behavior END behavior;